联系我们

与泰克代表实时聊天。 工作时间:上午 9:00 - 下午 5:00(太平洋标准时间)。

电话

致电我们

工作时间:上午9:00-下午5:00(太平洋标准时间)

下载

下载手册、产品技术资料、软件等:

下载类型
型号或关键字

反馈

任意波形发生器

AWG5200 系列产品技术资料

AWG5200 系列产品技术资料

在信号产生领域,AWG5200 系列任意波形发生器 (AWG) 通过前沿创新技术为工程师和研究人员引领前进之路。AWG5200 系列 AWGs 实现了无可比拟的信号保真度及同类产品中领先的采样率和存储深度,为复杂的 RF 基带信号发生应用和精密试验应用提供了优秀的信号模拟解决方案。基于高达 5 GS/s 采样率(内插时为 10 GS/s)和 16 位垂直分辨率,AWG5200 系列可以轻松的生成复杂信号,准确回放被捕获的信号。

主要性能指标
  • 采样率高达 10 GS/s(采用 2x 内插)
  • 2 通道、4 通道和 8 通道配置
  • -70 dBc 无杂散动态范围
  • 16 位垂直分辨率
  • 每条通道 2 G 样点波形存储深度
主要功能
  • 在一台仪器中为生成复杂的 RF 信号提供完整的解决方案
    • 直接生成载波高达 4 GHz 的信号,而不需要外部 RF 转换
  • 模拟真实世界对高速数字数据流的模拟影响
  • 生成高精度 RF 信号
    • 无杂散动态范围性能好于 -70 dBc
  • 创建长的复杂波形,而不会牺牲信号带宽
    • 高达 2 G 样点的波形内存,支持以 5 GS/s 采样率播放长达 400 ms 的数据,及以 2.5 GS/s 采样率播放长达 800 ms 的数据

  • 多机同步,实现多通道高速 AWG 系统

  • 无需外部电脑支持即可实现所有操作
    • 内置显示器和按钮可以直接从 AWG 前面板上迅速选择、编辑、播放波形,触发事件
  • 通过回放捕获信号功能,模拟真实世界环境
    • 可以在 AWG 上播放、编辑或重新采样使用示波器或实时频谱分析仪捕获的波形

  • 平滑地从信号模拟过渡到真实世界测试环境
    • 从第三方工具导入的矢量波形,如 MATLAB
应用
  • 为通信和国防电子测试生成 RF/微波波形
    • 直接输出高达 4 GHz 的 RF 信号

  • 尖端电子、物理和化学研究
    • 高速度低抖动信号源生成指定的模拟信号、快速脉冲、数据流和时钟

无缝连接信号仿真到信号生成

如果一个波形能够被定义或捕获,那么 AWG5200 就能复现这个信号。可以通过多种方式创建信号。泰克提供了全面的、不断新增的且针对 AWG 产品家族进行优化的波形发生插件,以实现了特定的波形创建功能,同时 MATLAB、Excel 等第三方解决方案也可以灵活地创建和导入您想要的任何波形。使用任意一种软件包来创建的波形都可以导入 AWG5200 中并进行回放,无缝地实现从仿真世界过渡到真实世界。

高级远程仪器控制和波形生成

新型 SourceXpress 平台把所有 AWG 仪器控制和波形生成功能放到 Microsoft® Windows PC 中。加载波形、创建序列、打开回放,都无须在 AWG 上实现。所有波形创建插件都在 SourceXpress 平台上以原生方式运行,可以迅速重复测试信号,而不必进实验室。

AWG5200-Series-Arbitrary-Waveform-Generator-Datasheet-EN_US-9-L

RF 信号发生器

RF 信号正变得越来越复杂,RF 工程师很难准确地创建合规性和裕量测试要求的信号。结合 RF 通用波形创建插件,AWG5200 系列可以解决这些棘手的设计挑战。RF 通用插件是一种以数字方式合成被调制基带、IF 和 RF 信号的软件包,它把信号发生提升到全新水平,全面利用了 AWG5200 系列任意波形发生器先进的信号发生功能。

AWG5200-Series-Arbitrary-Waveform-Generator-Datasheet-EN_US-10-L

内置数字 IQ 调制器

通信和国防系统的尺寸减小和成本降低推动着现代 DAC 不断进化,把更多的功能集成到一个芯片中。某些更先进的高速 DAC 还采用数字信号处理和调节功能,如数字内插、复杂调制和数控振荡器 (NCO)。这可以有效紧凑地直接生成复杂的 RF 信号。

泰克 AWG5200 系列拥有数字复合调制器和多速率内插功能。通过这种内置 IQ 调制功能,您可以消除由于外部调制器和混频器引起的 IQ 不匹配。另外通过使用这个调制器,没有带内载波馈通,也没有镜像。内置内插器,它还能以最有效的减小波形大小和缩短汇编时间的方式创建波形,同时延长播放时间。

AWG5200-Series-Arbitrary-Waveform-Generator-Datasheet-EN_US-11-L_0

提供了多种 DAC 模式

AWG5200 DAC 有多种模式,可以在 DAC BW 最干净的部分及频率滚降位置输出信号。

AWG5200-Series-Arbitrary-Waveform-Generator-Datasheet-EN_US-12-L

环境信号生成

许多雷达信号的关键特点要求它们必须与其它商用标准信号共存于同一频段,但性能不会劣化。为满足这一预期,雷达设计人员必须在设计/调试阶段彻底的测试所有可能的情况。AWG5200 具有回放这些最坏情况的信号所需的超高灵活性和精度。

技术规格

除另行指明外,所有技术规格均为典型值。除另行指明外,所有技术规格均适用于所有型号。

硬件特点
模拟输出数
AWG5202
AWG5204
AWG5208
模拟输出连接器类型
SMA 母头
模拟输出阻抗
50 Ω
标记输出数
AWG5202
AWG5204
16 
AWG5208
32 
分辨率(标称值)
16 位,没有标记激活时;15 位,1 个标记激活时;14 位,2 个标记激活时;13 位,3 个标记激活时;12 位,4 个标记激活时
波形内存
2 GS/通道
波形粒度
1 个采样
最小波形大小
2400 个采样
运行模式
连续模式
连续重复波形
触发模式
收到触发后仅输出波形一次
连续触发模式
在收到触发后连续重复波形
门模式
在启动触发时连续重复波形
采样率(标称值)
300 S/s - 5 GS/s(10 GS/s 内插 - 双倍数据速率)
Sin(x)/x (-3dB)
2.22 GHz @ 5 GS/s,4.44 GHz 插补 @ 10 GS/s
计算机特征
操作系统/外围设备/IO
Microsoft® Windows 操作系统

USB 2.0 标准端口(前面 2 个)

USB 3.0 标准端口(后面 4 个)

RJ-45 以太网连接器(后面板) 支持 10/100/1000BASE-T

VGA 视频(后面板)用于外部监视器

eSATA(后面板)

显示器特点
LED 背灯触摸屏显示器,165 mm(6.5 寸)对角线,1024 × 768 XGA
第三方应用软件驱动程序
IVI-COM 驱动程序

IVI-C 驱动程序

模拟输出特点
有效频率输出
Fmaximum (指定值)通过“采样率/过采样率“或 "SR / 2.5" 来确定。
 
2 GHz

4 GHz(双税数据速率 - DDR 模式)

直流高带宽输出
按单端输出值测量幅度电平。在使用差分(两个)输出时输出翻倍。
幅度范围

25 mVp-p~ 0.75 Vp-p(单端,50 Ω 端接阻抗)

50 mVp-p~ 1.5 Vp-p(差分模式,100 Ω 端接阻抗)

幅度精度(保障值)

设置值的 ±2% ≥ 100 mVp-p

设置值的 ±5% < 100 mVp-p

偏置
±2 V(50 Ω 到地),±4 V 到 DC 端接电压
偏置精度

±(偏置的 2% + 10 mV);50 Ω 到地。(共模,保障值)

±25 mV;接入 100 Ω 差分。(差分模式)

模拟带宽(750 mVp-p 时)
DC - 2 GHz (-3 dB),DC - 4 GHz (-6 dB)
上升/下降时间

20% - 80% 时测得的上升/下降时间。

750 mVp-p单端时 < 110 ps

直流高带宽放大输出(选项)
按单端输出值测量幅度电平。在使用差分(两个)输出时输出翻倍。
幅度范围

25 mVp-p~ 1.5 Vp-p(单端,50 Ω 端接阻抗)

50 mVp-p~ 3.0 Vp-p(差分模式,100 Ω 端接阻抗)

幅度精度(保障值)

设置值的 ±2% ≥ 100 mVp-p

设置值的 ±5% < 100 mVp-p

偏置
±2 V(50 Ω 到地),±4 V 到 DC 端接电压
偏置精度

±(偏置的 2% + 10 mV);50 Ω 到地。(共模,保障值)

±25 mV;接入 100 Ω 差分。(差分模式)

模拟带宽
DC - 1.3 GHz (-3 dB),DC - 2.6 GHz (-6 dB)(1.5 Vp-p 时)
上升/下降时间

20% - 80% 时测得的上升/下降时间。

1.5 Vp-p单端时 < 180 ps

直流高压输出(选项)
按单端输出值测量幅度电平。在使用差分(两个)输出时输出翻倍。
幅度范围

10 mVp-p~ 5.0 Vp-p(单端,50 Ω 端接阻抗)

20 mVp-p~ 10.0 Vp-p(差分模式,100 Ω 端接阻抗)

幅度精度(保障值)

设置值的 ±2% ≥ 160 mVp-p

设置值的 ±5% < 160 mVp-p

偏置
±2 V(50 Ω 到地),±4 V 到 DC 端接电压
偏置精度

±(偏置的 2% + 幅度的 1% + 20 mV)。(共模,保障值)

±88 mV;接入 100 Ω 差分。(差分模式)

模拟带宽
DC – 370 MHz (-3 dB)(2 Vp-p 时)
DC – 200 MHz (-3 dB)(4 Vp-p 时)
上升/下降时间

20% - 80% 时测得的上升/下降时间。

< 1.3 ns,5 Vp-p单端时。

< 1.1 ns,4 Vp-p单端时。

< 0.8 ns,3 Vp-p单端时。

< 0.6 ns,2 Vp-p单端时。

交流直接输出
按单端输出值测量幅度电平。
幅度范围
-17 dBm - -5 dBm
幅度精度

100 MHz 时 ±0.5 dBm

DC 偏置
150 mA 时 ±5 V
DC 偏置精度(保障值)
±(偏置的 2% + 20 mV);到开路(零负载电流)
模拟带宽
10 MHz - 2 GHz (-3 dB),10 MHz - 4 GHz (-6 dB)
交流放大输出(选项)
按单端输出值测量幅度电平。
幅度范围

-85 dBm ~ +10 dBm (10 MHz ~ 3.5 GHz)

-50 dBm ~ +10 dBm (>3.5 GHz ~ 5 GHz)

幅度精度

100 MHz 时 ±0.5 dBm

DC 偏置
150 mA 时 ±5 V
DC 偏置精度(保障值)
±(偏置的 2% + 20 mV);到开路(零负载电流)
模拟带宽
10 MHz - 2 GHz (-3 dB),10 MHz - 4 GHz (-6 dB)
输出匹配 VSWR
根据特性 Sin (x)/x 滚降进行数学校正,未采用外部校准方法进行校正。
 
输出路径 技术规格
DC HBW DC ~ 1 GHz < 1.4:1 
1 GHz ~ 3 GHz < 1.6:1 
3 GHz ~ 4 GHz < 2.0:1 
DC HBW 放大(选项) DC ~ 1 GHz < 1.4:1 
1 GHz ~ 3 GHz < 1.6:1 
3 GHz ~ 4 GHz < 2.0:1 
AC 直接 10 MHz ~ 1 GHz < 1.6:1 
1 GHz ~ 4 GHz < 2:1 
AC 放大(选项) 10 MHz ~ 2 GHz < 1.4:1 
2 GHz ~ 4 GHz < 1.5:1 
比特率
以“采样率/每个周期 4 点”确定比特率,支持全损伤信号生成。

5 GS/s 时 1.25 Gb/s

通道定时特点
通道之间的时滞

±25 ps

时滞调节
范围
±2 ns
分辨率
250 fs
序列器特点
最大序列步进
16,384 
子序列
单一深度水平
无杂散动态范围 (SFDR) 特点
SFDR 特点

SFDR 是直接生成的载波频率的函数。

不包括谐波。使用平衡-不平衡转换器测得,输出幅度设定为 500 mV。

DC 直接输出
2.5 GS/s   带内性能 邻带性能
  模拟通道输出频率 实测范围 技术规格 实测范围 技术规格
100 MHz 10 – 500 MHz –80 dBc 0.01 – 1.25 GHz –72 dBc
10 – 625 MHz 10 – 625 MHz –70 dBc 0.01 – 1.25 GHz –62 dBc
0.01 – 1 GHz 0.01 – 1 GHz –60 dBc 0.01 – 1.25 GHz –58 dBc
1 – 1.25 GHz 1 – 1.25 GHz –60 dBc 0.01 – 1.25 GHz –54 dBc
 
5 GS/s   带内性能 邻带性能
  模拟通道输出频率 实测范围 技术规格 实测范围 技术规格
100 MHz 0.01 – 1 GHz –80 dBc 0.01 – 2.5 GHz –72 dBc
0.01 – 1.25 GHz 0.01 – 1.25 GHz –70 dBc 0.01 – 2.5 GHz –62 dBc
0.01 – 2 GHz 0.01 – 2 GHz –60 dBc 0.01 – 2.5 GHz –58 dBc
2 – 2.5 GHz 2 – 2.5 GHz –60 dBc 0.01 – 2.5 GHz –54 dBc
 
10 GS/s   带内性能 邻带性能
  模拟通道输出频率 实测范围 技术规格 实测范围 技术规格
100 MHz 0.01 – 1 GHz –80 dBc 0.01 – 5 GHz –72 dBc
0.01 – 1.25 GHz 0.01 – 1.25 GHz –70 dBc 0.01 – 5 GHz –57 dBc
0.01 – 2 GHz 0.01 – 2 GHz –60 dBc 0.01 – 5 GHz –57 dBc
2 – 3.5 GHz 2 – 3.5 GHz –60 dBc 0.01 – 5 GHz –54 dBc
3.5 – 4 GHz 3.5 – 4 GHz –56 dBc 0.01 – 5 GHz –50 dBc
AC 直接输出

不包括谐波。最大输出幅度时测得。

2.5 GS/s   带内性能 邻带性能
  模拟通道输出频率 实测范围 技术规格 实测范围 技术规格
100 MHz 10 – 500 MHz –80 dBc 0.01 – 1.25 GHz –72 dBc
10 – 625 MHz 10 – 625 MHz –70 dBc 0.01 – 1.25 GHz –62 dBc
0.01 – 1 GHz 0.01 – 1 GHz –60 dBc 0.01 – 1.25 GHz –58 dBc
1 – 1.25 GHz 1 – 1.25 GHz –60 dBc 0.01 – 1.25 GHz –54 dBc
 
5 GS/s   带内性能 邻带性能
  模拟通道输出频率 实测范围 技术规格 实测范围 技术规格
100 MHz 0.01 – 1 GHz –80 dBc 0.01 – 2.5 GHz –72 dBc
0.01 – 1.25 GHz 0.01 – 1.25 GHz –70 dBc 0.01 – 2.5 GHz –62 dBc
0.01 – 2 GHz 0.01 – 2 GHz –60 dBc 0.01 – 2.5 GHz –58 dBc
2 – 2.5 GHz 2 GHz – 2.5 GHz –60 dBc 0.01 – 2.5 GHz –54 dBc
 
10 GS/s   带内性能 邻带性能
  模拟通道输出频率 实测范围 技术规格 实测范围 技术规格
100 MHz 0.01 – 1 GHz –80 dBc 0.01 – 5 GHz –72 dBc
0.01 – 1.25 GHz 0.01 – 1.25 GHz –70 dBc 0.01 – 5 GHz –62 dBc
0.01 – 2 GHz 0.01 – 2 GHz –60 dBc 0.01 – 5 GHz –58 dBc
2 – 3.5 GHz 2 – 3.5 GHz –60 dBc 0.01 – 5 GHz –54 dBc
3.5 – 4 GHz 3.5 – 4 GHz –56 dBc 0.01 – 5 GHz –50 dBc
有效位数 (ENOB)

时钟特点
时钟输入
连接器
SMA(后面板)
输入阻抗
50 Ω,AC 耦合
频率范围
2.5 GHz - 5 GHz
输入幅度
0 dBm ~ +10 dBm
时钟输出
连接器
SMA,后面板
输出阻抗
50 Ω,AC 耦合
频率范围
2.5 GHz - 5 GHz
输出幅度
+3 dBm - +10 dBm
采样时钟
分辨率
多达 8 位
精度
取决于参考频率精度
同步时钟输出
频率
外部时钟输出 /32 
幅度
0.85 V - 1.25 V p-p 至 50 Ω
连接器
SMA(后面板)
阻抗
50 Ω,AC 耦合
触发输入
输入
2(A 和 B)
极性
正或负
阻抗
50 Ω,1 kΩ
输入范围

50 Ω:<5 Vrms

1 kΩ:±10 V

连接器
SMA(后面板)
门限电平
范围 -5.0 V ~ 5.0 V
分辨率 0.1 V
精度 ±(5% +100 mV)
触发延迟到模拟输出
异步 8760/ fclk +68 ns ± 20 ns
同步 8275 / fclk + 30 ns ±20 ns
异步触发抖动
选择 1 kΩ 440 psp-p(2.5 GHz DAC 采样时钟)
240 psp-p(5 GHz DAC 采样时钟)
选择 50 Ω 420 psp-p,24 psrms(2.5 GHz DAC 采样时钟)
220 psp-p,14 psrms(5 GHz DAC 采样时钟)
同步触发抖动
触发与内部或外部时钟同步 300 fsrms
触发与变量参考同步 400 fsrms
触发与固定 10 MHz 参考同步 1.7 psrms
触发最小脉冲宽度
20 ns
触发释抑
>2 μs
参考输入
输入幅度
–5 dBm ~ +5 dBm
固定频率范围
10 MHz,±40 Hz
可变频率范围
35 MHz ~ 240 MHz
连接器
SMA(后面板)
阻抗
50 Ω,AC 耦合
10 MHz 参考
连接器
SMA(后面板)
阻抗
50 Ω,AC 耦合
幅度
+4 dBm ±2 dBm
频率(保障值)
±(1 ppm + 老化)以内,老化:每年 ±1 ppm
辅助输出(标志)
数量
AWG5202:4 

AWG5204:4 

AWG5208:8 

连接器
后面板上 SMB
输出幅度

高 2.0 V 至 50 Ω

输入 10 mA 时低 0.7 V

输出阻抗
50 Ω
标记
数量
AWG5202:总共 8 个(每条通道 4 个)

AWG5204:总共 16 个(每条通道4 个)

AWG5208:总共 32 个(每条通道 4 个)

标记采样率
2.5 GS/s 至 5 GS/s
最小脉冲宽度
400 ps
最大数据速率
2.5 GS/s
类型
单端
连接器
SMA(后面板)
阻抗
50 Ω
输出至 50 Ω
窗口:-0.5 V ~ 1.7 V

幅度:200 mV ~ 1.75 V

分辨率:100 μV

上升时间
(20% - 80%):150 ps
同一通道标记之间的时滞
<25 ps
时延控制
±2 ns
随机抖动
5 ps
码型跳转
针脚排列
针脚   针脚   针脚  
接地 接地 11  数据位 5,输入
数据位 0,输入 选通,输入 12  数据位 6,输入
数据位 1,输入 接地 13  数据位 7,输入
数据位 2,输入 接地 14  接地
数据位 3,输入 10  数据位 4,输入 15  接地
输入阻抗
1 kΩ 下降到接地
输入电平

3.3 V LVCMOS

满足 5 V TTL 标准

目标数量
256 
选通极性
负边沿
选通最小脉宽
64 ns
选通建立时间和保持时间

建立时间:5 ns

保持时间:5 ns

波形功能
波形文件导入功能
按系列导入波形格式:

泰克 AWG5200/70000 系列创建的.AWGX 文件

泰克 AWG5000 或 AWG7000 系列创建的 .AWG 文件

泰克 AWG400/500/600/700 系列创建的 .PAT 和 *.WFM 文件格式

泰克 RSA3000 系列创建的 .IQT 文件格式

泰克 RSA6000/5000 系列或 MDO4000 系列创建的 .TIQ 文件格式

泰克 TDS/DPO/MSO/DSA 系列创建的 .WFM 或 *.ISF 文件格式

.TXT 文件格式

.MAT Matlab 文件格式

泰克 AWG5200 系列创建的 .SEQX 文件格式

泰克 AWG400/500/600/700 系列创建的 .SEQ 文件格式

.TMP 或 .PRM 文件格式;Midas Blue(数据类型 1000/1001;标量和复数数据;8 位, 16 位, 32 位, 64位整数和 32 位和 64 位浮动数据格式类型)

波形文件导出功能
.WFMX 文件格式, AWG5200/70000 系列原生格式

.WFM 文件格式, AWG400/500/600/700 波形文件

.TIQ 文件格式, RSA6000 IQ 对

.TXT 文件格式

物理特点
外观尺寸
高度
153.6 毫米(6.05 英寸)
宽度
460.5 毫米(18.13 英寸)
厚度
603 毫米(23.76 英寸)
重量
AWG5202
44 磅(19.96 公斤),含包装时 46.35 磅(21.02 公斤)
AWG5204
45.45 磅(20.62 公斤),含包装时 47.75 磅(21.66 公斤)
AWG5208
50.7磅 (23 公斤),含包装时 53 磅(24.04 公斤)
冷却间隙
顶部
0 英寸
底部
0 英寸
左侧
50 毫米(2 英寸)
右侧
50 毫米(2 英寸)
后面
0 英寸
电源
交流线输入
100 至 240 V AC,50/60 Hz
功耗
最大 750 W
EMC、环境、安全
温度
工作
0 ºC ~ +50 ºC (+32 ºF ~ +122 ºF)
非工作状态
-20 ºC ~ +60 ºC (-4 ºF ~ +140 ºF )
湿度
工作

在不高于 30 °C 时,相对湿度 (% RH) 5% 到 90%

5% ~ 45% 相对湿度,30 °C ~ 50 °C

无冷凝

非工作状态

在不高于 30 °C 时,相对湿度 (% RH) 5% 到 90%

高于 30 °C 不超过 60 °C 时,相对湿度 5% 到 45%

无冷凝

海拔高度
工作

最高 3,000 米(9,843 英尺)

1500 米以上时每 300 米最大工作温度额定值下降 1 °C。

非工作
最高 12,000 米(39,370 英尺)
机械撞击
工作
半正弦机械冲击,30 g 峰值,持续时间 11 ms,每个轴每个方向下落 3 次。
法规
安全性
UL61010-1、CAN/CSA-22.2、No.61010-1-04、EN61010-1、IEC61010-1 
辐射
EN55011(A 级)、IEC61000-3-2、IEC61000-3-3 
抗干扰能力
IEC61326,IEC61000-4-2/3/4/5/6/8/11 
地区认证
欧洲 澳大利亚/新西兰
EN61326 AS/NZS 2064

订购信息

AWG5200 系列
AWG5202
16 位,2 G 样点/通道记录长度,2 通道任意波形发生器
AWG5200-225
2.5 GS/s
AWG5200-250
5 GS/s(10 GS/s 内插)
AWG5200-2DC
高带宽放大输出
AWG5200-2HV
高压输出
AWG5200-2AC
交流放大输出
AWG5200-2DIGUP
数字上变频(需要 AWG5200-250)
AWG5200-SEQ
排序
AWG5202-ACCY01
USB 鼠标,紧凑型 USB 键盘
AWG5204
16 位,2 G 样点/通道记录长度,4 通道任意波形发生器
AWG5200-425
2.5 GS/s
AWG5200-450
5 GS/s(10 GS/s 内插)
AWG5200-4DC
高带宽放大输出
AWG5200-4HV
高压输出
AWG5200-4AC
交流放大输出
AWG5200-4DIGUP
数字上变频(需要 AWG5200-450)
AWG5200-SEQ
排序
AWG5204-ACCY01
USB 鼠标,紧凑型 USB 键盘
AWG5208
16 位,2 G 样点/通道记录长度,8 通道任意波形发生器
AWG5200-825
2.5 GS/s
AWG5200-850
5 GS/s(10 GS/s 内插)
AWG5200-8DC
高带宽放大输出
AWG5200-8HV
高压输出
AWG5200-8AC
交流放大输出
AWG5200-8DIGUP
数字上变频(需要 AWG5200-850)
AWG5200-SEQ
排序
AWG5208-ACCY01
USB 鼠标,紧凑型 USB 键盘
标配附件1
136-7162-xx
每条通道两个 50 Ω,18 GHz,SMA 端接
071-3529-xx
安装和安全手册(英语)
校准证书
电源线

1在订货时指明电源线和语言选项

选件
电源插头选件
选项 A0
北美电源插头(115 V,60 Hz)
选项 A1
欧洲通用电源插头(220 V,50 Hz)
选项 A2
英国电源插头(240 V,50 Hz)
选项 A3
澳大利亚电源插头(240 V,50 Hz)
选项 A4
北美电源插头(240 V,50 Hz)
选项 A5
瑞士电源插头(220 V,50 Hz)
选项 A6
日本电源插头(100 V、50/60 Hz)
选项 A10
中国电源插头 (50 Hz)
选项 A11
印度电源插头 (50 Hz)
选项 A12
巴西电源插头 (60 Hz)
选项 A99
无电源线
语言选项
选项 L0
英文手册
选项 L5
日语手册
选项 L7
简体中文手册
选项 L8
繁体中文手册
选项 L10
俄语手册
选项 L99
无手册
服务选件
选项 C3
3 年校准服务
选项 C5
5 年校准服务
选项 CA1
单次校准或功能校验
选项 D1
校准数据报告
选项 D3
3 年校准数据报告(要求选项 C3)
选项 D5
5 年校准数据报告(要求选项 C5)
选项 G3
3 年全面保障(包括备用机、预约校准等)
选项 G5
5 年全面保障(包括备用机、预约校准等)
选项 IF
升级安装服务
选项 R3
3 年维修服务(包括保修)
选项 R5
5 年维修服务(包括保修)
售后服务选项
CA1
校准或功能检验一次
R5DW
五年维修服务
R2PW
维修服务提供 2 年延长保修
R1PW
维修服务提供 1 年延长保修
推荐附件
机架安装选项
GF-RACK3U
机架安装选项
产品升级
AWG5202
AWG52UP 选项 SSD
提供额外的(或更换用的)预编程固态硬盘
AWG5200-2-2550

把采样率从 2.5 GS/s 提高到 5 GS/s(10 GS/s 内插)

AWG5200-2DC

增加直流高带宽放大输出

AWG5200-2HV

增加直流高压输出(仅限 SN B020000 及以上)

AWG5200-2AC

增加交流放大输出

AWG5200-2DIGUP

增加数字上变频(需要 AWG5200-250 或 AWG5200-2-2550)

AWG5200-SEQ

增加排序功能

AWG5204
AWG52UP 选项 SSD
提供额外的(或更换用的)预编程固态硬盘
AWG5200-4-2550

把采样率从 2.5 GS/s 提高到 5 GS/s(10 GS/s 内插)

AWG5200-4DC

增加直流高带宽放大输出

AWG5200-4HV

增加直流高压输出(仅限 SN B020000 及以上)

AWG5200-4AC

增加交流放大输出

AWG5200-4DIGUP

增加数字上变频(需要 AWG5200-450 或 AWG5200-4-2550)

AWG5200-SEQ

增加排序功能

AWG5208
AWG52UP 选项 SSD
提供额外的(或更换用的)预编程固态硬盘
AWG5200-8-2550

把采样率从 2.5 GS/s 提高到 5 GS/s(10 GS/s 内插)

AWG5200-8DC

增加直流高带宽放大输出

AWG5200-8HV

增加直流高压输出(仅限 SN B020000 及以上)

AWG5200-8AC

增加交流放大输出

AWG5200-8DIGUP

增加数字上变频(需要 AWG5200-850 或 AWG5200-8-2550)

AWG5200-SEQ

增加排序功能

插件

插件提高了任意波形发生器的功能。有各种插件,提供了独特的波形类型或额外补偿功能。每个插件都有自己的安装文件,可以无缝安装到发生器中。安装后,其便可提供新的菜单选项。无需其他配置。

插件 描述 命名 许可增强功能
多音调和线性调频插件 生成线性调频、刻痕和音调 MTONENL-SS01
MTONEFL-SS01
 
预补偿插件 创建可应用到波形上的校正系数,获得平坦的频率和线性相位响应 PRECOMNL-SS01
PRECOMFL-SS01
 
高速串行插件 创建预失真的波形,测试器件是否满足标准 HSSNL-SS01
HSSFL-SS01
HSSPACKNL-SS01
HSSPACKFL-SS01
S 参数和符号间干扰
扩频时钟 (HSSPACK 中包含许可的增强功能)
RF 通用插件 创建数字调制信号,支持多个载波群 RFGENNL-SS01
RFGENFL-SS01
S 参数
光插件 为光测试创建采用复杂调制方式的波形 OPTICALNL-SS01
OPTICALFL-SS01
S 参数
扩频时钟
OFDM 插件 创建单个或多个基于 OFDM 的帧,支持一个或多个突发 OFDMNL-SS01
OFDMFL-SS01
S 参数
雷达插件 创建雷达脉冲式波形,支持各种调制和损伤 RADARNL-SS01
RADARFL-SS01
S 参数
环境插件 创建真实的商用、电子战和模拟场景,以便进行监测和接收机测试 ENVNL-SS01
ENVFL-SS01
 
扩频时钟插件 在高速串行插件和光插件中增加 SSC 功能 SSCFLNL-SS01
SSCFLFL-SS01
 
S 参数插件 在 RF 通用插件、高速串行插件、光插件、OFDM 插件和雷达插件中增加 S 参数功能 SPARANL-SS01 SPARAFL-SS01  

插件要求购买许可后才能全面运行。

每处插件有两种许可类型:节点锁定许可 (NL) 和浮动许可 (FL)。

  • 节点锁定许可 (NL) 在仪器上提供一份自己的应用,永久分配给一个产品型号/序列号。

  • 浮动许可 (FL) 可以在不同产品型号之间移动。

保修
一年部件和人工。